Commit 0df6db27 authored by LM's avatar LM

Updated qserialport

parent edbb7fcf
...@@ -99,14 +99,13 @@ public: ...@@ -99,14 +99,13 @@ public:
BAUDR_460800, BAUDR_460800,
BAUDR_500000, BAUDR_500000,
BAUDR_576000, BAUDR_576000,
BAUDR_921600,
#endif #endif
#ifdef TNX_WINDOWS_SERIAL_PORT #ifdef TNX_WINDOWS_SERIAL_PORT
BAUDR_14400, BAUDR_14400,
BAUDR_56000, BAUDR_56000,
BAUDR_128000, BAUDR_128000,
BAUDR_230400,
BAUDR_256000, BAUDR_256000,
BAUDR_460800,
#endif #endif
// baud rates supported by all OSs // baud rates supported by all OSs
BAUDR_110, BAUDR_110,
...@@ -119,8 +118,7 @@ public: ...@@ -119,8 +118,7 @@ public:
BAUDR_19200, BAUDR_19200,
BAUDR_38400, BAUDR_38400,
BAUDR_57600, BAUDR_57600,
BAUDR_115200, BAUDR_115200
BAUDR_921600
}; };
enum DataBits { enum DataBits {
...@@ -186,14 +184,13 @@ public: ...@@ -186,14 +184,13 @@ public:
case BAUDR_56000: baudRateInt_=56000; break; case BAUDR_56000: baudRateInt_=56000; break;
case BAUDR_128000: baudRateInt_=128000; break; case BAUDR_128000: baudRateInt_=128000; break;
case BAUDR_256000: baudRateInt_=256000; break; case BAUDR_256000: baudRateInt_=256000; break;
case BAUDR_230400: baudRateInt_=230400; break;
case BAUDR_460800: baudRateInt_=460800; break;
#endif #endif
#if defined(Q_OS_LINUX) #if defined(Q_OS_LINUX)
case BAUDR_230400: baudRateInt_=230400; break; case BAUDR_230400: baudRateInt_=230400; break;
case BAUDR_460800: baudRateInt_=460800; break; case BAUDR_460800: baudRateInt_=460800; break;
case BAUDR_500000: baudRateInt_=500000; break; case BAUDR_500000: baudRateInt_=500000; break;
case BAUDR_576000: baudRateInt_=576000; break; case BAUDR_576000: baudRateInt_=576000; break;
case BAUDR_921600: baudRateInt_=921600; break;
#endif #endif
// baud rates supported by all platforms // baud rates supported by all platforms
case BAUDR_110: baudRateInt_=110; break; case BAUDR_110: baudRateInt_=110; break;
...@@ -207,7 +204,6 @@ public: ...@@ -207,7 +204,6 @@ public:
case BAUDR_38400: baudRateInt_=38400; break; case BAUDR_38400: baudRateInt_=38400; break;
case BAUDR_57600: baudRateInt_=57600; break; case BAUDR_57600: baudRateInt_=57600; break;
case BAUDR_115200: baudRateInt_=115200; break; case BAUDR_115200: baudRateInt_=115200; break;
case BAUDR_921600: baudRateInt_=921600; break;
default: default:
baudRateInt_ = 0; // unknown baudrate baudRateInt_ = 0; // unknown baudrate
} }
......
Markdown is supported
0% or
You are about to add 0 people to the discussion. Proceed with caution.
Finish editing this message first!
Please register or to comment